Pages

Ads 468x60px

Senin, 27 Desember 2010

Tanggapan Mengenai Kekalahan Timnas

Menanggapi kekalahan timnas Indonesia di ajang final AFF Suzuki cup dari Malaysia pada leg 1 minggu lalu, saya berpendapat mungkin saja faktor dari kekalahan itu adalah kurang konsentrasinya para pemain timnas di lapangan. Bermain di hadapan sekitar 80.000 suporter Malaysia membuat permainan Firman Utina dkk tak berkembang. Apalagi ini adalah pertandingan tandang pertama timnas. Tekanan demi tekanan di alami oleh para pemain Indonesia dari supporter tuan rumah salah satunya adalah kembang api dan sinar laser yang yang sengaja di arahkan ke para pemain timnas salah satunya adalah kepada penjaga gawang timnas Markus. Hal ini mungkin saja menjadi faktor utama di balik kegagalan timnas meraih kemenangan.

Akan tetapi kekalahan itu harus segera di lupakan dan mempersiapkan kembali fisik dan mental untuk pertandingan final leg 2 yang akan berlangsung rabu (29/12) di stadion utama gelora bung karno. Kembali bermain di hadapan supporter Indonesia diharapkan Indonesia dapat mampu membalas kekalahan sehingga dapat keluar sebagai juara AFF Suzuki cup 2010. Walaupun peluang Indonesia terbilang cukup berat kami berharap para pemain menampilkan permainan penuh semangat dan kerja keras.

Meskipun nantinya Malaysia keluar sebagai juara kami tetap bangga akan performa timnas selama turnamen ini berlangsung. Sebagai orang Indonesia kami tidak akan pernah berhenti untuk mendukung serta mendoakan laskar garuda sampai kapan pun juga. Karena mereka adalah kebanggaan Indonesia.

Tulisan Bahasa Indonesia "Stadion GBK"

Siapa yang tak kenal stadion utama gelora bung karno. Stadion Utama kebanggan Indonesia ini adalah adalah sebuah stadion serbaguna di Jakarta, yang merupakan bagian dari kompleks olahraga Gelanggang Olahraga Bung Karno. Stadion ini umumnya digunakan sebagai arena pertandingan sepak bola tingkat internasional. Stadion ini dinamai untuk menghormati Soekarno, Presiden pertama Indonesia, yang juga merupakan tokoh yang mencetuskan gagasan pembangunan kompleks olahraga ini.

Pembangunannya didanai dengan kredit lunak dari Uni Soviet sebesar 12,5 juta dollar AS yang kepastiannya diperoleh pada 23 Desember 1958. Dan tentunya dengan dana yang cukup besar tersebut itu menjadikan galanggang olahraga ini sebagai stadion sepakbola terbesar di Indonesia. Hingga saat ini, Gelora Bung Karno merupakan satu-satunya stadion yang benar-benar berstandar internasional di Indonesia.

Dengan kapasitas sekitar 100.000 orang, stadion yang mulai dibangun pada pertengahan tahun 1958 dan penyelesaian fase pertamanya pada kuartal ketiga 1962 ini merupakan salah satu yang terbesar di dunia. Menjelang Piala Asia 2007, dilakukan renovasi pada stadion yang mengurangi kapasitas stadion menjadi 88.083 penonton.

Jumat, 24 Desember 2010

Tugas FPGA : Seven Segment

Rangkaian BCD to seven segment merupakan rangkaian logika kombinasional. Rangkaian ini digunakan untuk mengkonversikan suatu nilai desimal terkode biner (BCD) ke pola segment yang sesuai pada display seven segment.

Seven segment adalah alat tampilan elektronik yang bisa menampilkan penomoran decimal. Seven segment biasanya diidentifikasi dalam industri menggunakan huruf a - g seperti pada gambar berikut ini:


Dalam seven segment biasanya hanya digunakan sistem digital sebagai basis datanya yang juga biasa di sebut sebagai Bit (Binary Digit). Umumnya direpresentasikan dengan satu dari dua kondisi yaitu 1 (High), dan 0 (Low).

BCD atau binary-coded decimal adalah suatu cara penulisan angka desimal yang pada setiap digitnya direpresentasikan dengan bilangan biner. BCD biasanya direpresentasikan oleh 4 bit bilangan biner yang mempresentasikan angka 0 - 9. Contoh dari penulisan BCD yaitu:

15 = 0001 0101

347 = 0011 0100 0111

Contoh program tampilan bit pada seven segment.

entity segment is

port ( d : in std_logic_vector (3 downto 0);

s : out std_logic_vector (7 downto 0));

end segment;

architecture behavioral of segment is

begin

s <= "00000110" when d="0001" else --1

"01011011" when d="0010" else --2

"01001111" when d="0011" else --3

"01100110" when d="0100" else --4

"01101101" when d="0101" else --5

"01111101" when d="0110" else --6

"00000111" when d="0111" else --7

"01111111" when d="1000" else --8

"01101111" when d="1001" else --9

"01100111" when d="1010" else --A

"01111100" when d="1011" else --B

"00111001" when d="1100" else --C

"01011110" when d="1101" else --D

"01111001" when d="1110" else --E

"01110001" when d="1111" else --F

"11111111" ; --0

end behavioral;

Secara logika dapat di jelaskan :

Misalnya, kita memasukkan 0 pada switch 3, 1 pada switch 2, 1 pada switch 1, 1 pada switch 0 maka pada A, B, C, D, E, F, G, dan H secara berurut – urut menunjukkan logika 1, 1, 1, 0, 0, 0, 0, 0.

Dan setelah diimplementasikan maka hasil seven segmentnya adalah sebagai berikut :

Minggu, 19 Desember 2010

Analisa Leg Ke Dua Indonesia vs Filipina

Indonesia berhasil menekuk Filipina dengan skor 1 – 0 . Gol dicetak oleh pemain naturalisasi asal uruguay Christian ‘El Loco’ Gonzalez pada menit ke 42 gol ini sekaligus mengantarkan Indonesia ke babak final untuk mempertemukan Indonesia dengan Malaysia yang pada pertandingan sebelumnya menahan imbang Vietnam 0 – 0 .

Pada menit pertama kick off di bunyikan Indonesia sudah langsung melancarkan serangan ke jantung pertahanan Filipina tapi sayang gempuran yang dilakukan terhadang tembok pertahanan Filipina yang begitu rapat. Kesempatan emas akhirnya datang ketika Gonzalez melepaskan sundulan keras memanfaatkan umpan yang di lepaskan oleh Nasuha, tetapi sayang bola hasil tandukan Gonzalez masih tepat jatuh di pelukan penjaga gawang Filipina. Di akhir penghujung babak pertama barulah seisi stadion utama gelora bung karno bersorak setelah Gonzalez berhasil membobol gawang Filipina melalui tendangan keras kaki kiri dari luar kotak pinalti.

Memasuki babak kedua Indonesia bermain lebih santai. Pada pertengahan babak ke dua Filipina mendapatkan sebuah peluang lewat pemain naturalisasinya akan tetapi usaha itu masih buntu karena tendangannya masih berada di atas mistar gawang Markus. Indonesia sesekali menciptakan peluang lewat para pemainnya tetapi usaha itu belum membuahkan gol.

Di akhir babak kedua pemain Filipina mendapatkan kartu merah setelah sebelumnya mendapatkan dua kartu kuning akibat melanggar penjaga gawang Indonesia. Hingga pertandingan usai skor 1 – 0 tetap tak merubah sehingga meloloskan Indonesia ke final dengan agregat 2 – 0.

Kamis, 16 Desember 2010

Tugas 3 Bahasa Indonesia "Pola Pengembangan Paragraf"

Paragraf adalah kesatuan pikiran yang mengungkapkan ide pokok yang berbentuk dalam rangkaian kalimat yang berkaitan dengan bentuk (kohesi) dan makna (koherensi). Dalam paragraf terdapat suatu pola pengembangan.

Berikut ini adalah pola pengembangan pada paragraf :

1. Paragraf definisi adalah paragraf yang menjelaskan sesuatu dengan jelas dengan konjungsi (adalah, ialah, yaitu) agar mudah dimengerti.

Contoh pengembangan paragraf definisi :

Apakah itu VGA card ? VGA card bagi orang yang telah mengenal komputer pasti mengetahui komponen yang satu ini. VGA card merupakan bagian komputer yang ada di dalam CPU yang berperan penting untuk menampilkan proses ke monitor. Tanpa adanya VGA card maka layar komputer tidak dapat menampilkan apa – apa. VGA card sendiri ada yang merupakan bawaan dari produsen motherboard dan ada juga yang berupa slot tambahan.

2. Paragraf contoh adalah paragraf yang memberikan contoh agar mudah dipahami.

Contoh pengembangan paragraf contoh :

Di kota – kota besar saat ini kita dapat menjumpai suatu bahasa / kata asing yang sering kita dengar di sekitar kita. Bahasa itu biasanya di gunakan oleh anak muda untuk berbicara di kelompoknya. Bahasa yang saat ini sedang ramai digunakan adalah bahasa alay yang entah dari mana datangnya bahasa tersebut. Contoh tersebut merupakan gambaran mulai menghilangnya penggunaan bahasa Indonesia yang baik dan benar.

3. Paragraf kausal adalah paragraf yang menunjukkan hubungan sebab­akibat dalam suatu kejadian.

Contoh pengembangan paragraf kausal :

Hujan lebat mengguyur kota jakarta. Beberapa saat kemudian air selokan dan sungai pun mulai meluap. Akhirnya, banjirpun menggenangi kota jakarta.

4. Paragraf spasial adalah paragraf yang penulisannya berhubungan dengan tempat tertentu dan menggambarkannya.

Contoh pengembangan paragraf spasial :

Indonesia menjalani laga pertandingan perdana piala Suzuki AFF 2010 di stadion utama gelora bung karno senayan. Malam itu Bambang cs memulai laga melawan Malaysia. Saat pertandingan berlangsung Malaysia berhasil unggul terlebih dahulu sehingga membuat Indonesia tertinggal 0 – 1 yang membuat supporter Indonesia terdiam. Akan tetapi keunggulan Malaysia tidak bertahan lama karena Indonesia berhasil membalasnya. Gol Indonesia itu kembali membuat supporter bersemangat memeberikan dukungan kepada Indonesia. Pada babak ke dua Indonesia kembali mencetak gol tambahan yang membuat laga dimenangi Indonesia dengan skor 5 – 1.

5. Paragraf perbandingan paragraf yang membandingkan sesuatu untuk menemukan perbedaan atau persamaan.

Contoh pengembangan paragraf perbandingan :

Sepak bola adalah olahraga paling populer di dunia banyak orang memainkan olahraga ini mulai dari anak – anak hingga orang dewasa. Namun seiring dengan perkembangan zaman lapangan sepak bola sudah sangat sulit untuk di temukan di kota – kota besar di Jakarta. Sehingga banyak yang beralih ke olahraga futsal. Futsal sendiri adalah olahraga yang hampir serupa dengan sepak bola hanya saja untuk olahraga futsal hanya dimainkan oleh 5 orang dalam satu tim sehingga lapangan yang digunakan pun lebih kecil dibandingkan dengan sepak bola.

Tulisan Bahasa Indonesia "Euforia Piala AFF 2010"

Akhir – akhir ini Indonesia dilanda demam sepak bola. Penyebabnya adalah lolosnya tim nasional Indonesia ke babak semifinal. Sebelumnya Indonesia berhasil memenangkan seluruh laga di babak penyisihan grup A yang dihuni oleh Malaysia, Laos, dan Thailand. Keberhasilan ini tidak lepas dari adanya pelatih baru yang menangani timnas dan juga adanya pemain naturalisasi seperti Irfan Bachdim dan juga Cristian Gonzales. Bahkan sosok Irfan Bachdim saat ini menjadi idola baru seperti halnya artis papan atas yang mampu menyihir kaum hawa di negeri ini.

Sebelumnya kaum hawa sangat jarang yang menyaksikan laga sepak bola tetapi saat ini hampir banyak kita menemui wanita yang menyaksikan laga sepak bola langsung di stadion. Mungkin irfan bachdim mejadi salah satu faktor utama di balik berminatnya wanita menyaksikan sepak bola langsung di stadion.