Senin, 25 Oktober 2010
Tugas FPGA
1. Berikut ini adalah contoh package – package dari STD, IEEE, dan Work :
Jawab :
Library STD:
use STD.standard.all;
use STD.textio.all;
Library IEEE:
use IEEE.std_logic_arith.all;
use IEEE.numeric_bit.all;
Library WORK:
use WORK.data_types.all;
2. Berikut ini adalah program D Flip Flop jika dipilih reset maka q = 0 dan saat dipilih clock maka q = d :
Jawab :
library ieee;
use ieee.std_logic_1164.all;
entity lock is
port (clk, reset, d : in std_logic;
Q : out std_logic);
end lock;
architecture key of lock is
begin
process (clk, reset)
begin
if (reset = ‘1’) then
q <= ‘0’;
elsif (clk’event and clk = ‘1’) then
q <= d;
end if;
end process;
end key;
Rabu, 20 Oktober 2010
Tugas 1 Bahasa Indonesia
Kosa kata baku - tak baku
Di kehidupan sehari – hari kita sering mendengar kosa kata maupun kata- kata baku di sekeliling kita. Untuk mengetahui kata – kata baku, berikut ini adalah beberapa kata baku dan tak baku yang sering kita jumpai :
Kata Baku - Tidak Baku
Abjad - Abjat
Adegan - Adehan
Administrasi - Administerasi
Aerob - Aerobe
Aerodinamika - Aerodinamik
Ahli - Akhli
Akhir - Ahir
Aki - Accu
Aksen - Asen
Aksesori - Asesori
aktif - aktip
aktivitas - aktifitas
Aktual - Aktuil
Akuarium - Aquarium
Amin - Amien
Anarki - Anarchi
Anggota - Anggauta
Antarnegara - Antar negara
Apotek - Apotik
Asas - Azas
Atau - ataw
Atmosfer - Atmosfir
Audiogram - Odiogram
Auditorium - Oditorium
Bazar - Basar
Bekarja - Kerja
Berkali kali - Berulang kali
Bertemu - Ketemu
Bus - Bis
Cek - Check
Dasawisma - Dasa wisma
Dialog - Dialok
Dinamo - Dinamu
Eksklusif - Esklusif
Ekstra - Extra
Fase - Pase
Fisik - Pisik
Formal - Formil
Frekuensi - Frekwensi
Hadirin - Para hadirin
Hemoglobin - Haemoglobin
Hidraulik - Hidrolik
Ijazah – Izazah
Insaf - Insyaf
Instruksi - Intruksi
Insyaf - insap
Jadwal - Jadual
Karier - Karir
Kaustik - Kostik
Keterampilan - Ketrampilan
Khayal - hayal
Kolera - Kholera
Konduite - Kondite
Konstruksi - Kontruksi
Koordinasi - Kordinasi
Kristal - Krystal
Kromosom - Khromosom
Kuorum - Korum
Kup - Koup
Masyarakat - Masarakat
Mengapa - Kenapa
mengkreditkan - mengreditkan
Metode - Metoda
Nasihat - Nasehat
Objek - Obyek
pancaroba - panca roba
Para tamu - Para tamu-tamu
pascapanen - pasca panen
Pasien - Pasen
pengkreditan - pengreditan
Persentase - Prosentase
Personal - Personil
Pihak - Fihak
Praktik-Praktek
Prematur - Premater
Proyek - Projek
Sah - Syah
Sakarin - Saharin
Sen – Sent
Silinder - Slinder
Sintesis - Sintesa
Sistem - Sistim
Skema - Skhema
Skripsi - Sekripsi
sportif - sportip
sportivitas - sportifitas
Struktural - Strukturil
Syukur - Sukur
Taksi - Taxi
Teknik - Tehnik
Teleks - Telex
Tim - Team
Trailer - Triler
Transportasi - Tranportasi
Vakum - Vakem
Xenon - Senon
Zigot - Zigote
Zodiak - Jodiak
Zuhur - juhur
Tulisan 1 Bahasa Indonesia
Sebagai orang indonesia sudah seharusnya kita menggunakan bahasa indonesia di kehidupan sehari - hari. Tetapi mengapa bahasa indonesia harus tetap kita pelajari jika kita sudah mengerti dan selalu menggunakannya ketika berbicara. Pada zaman modern ini banyak orang dari kita yang sudah tidak menggunakan bahasa indonesia dengan baik dan benar yang dikarenakan oleh banyaknya percampuran budaya asing yang masuk dan juga berkembangnya bahasa - bahasa gaul yang sering dipergunakan oleh sebagian besar anak muda di negeri kita ini. Untuk itulah mengapa dari sekolah dasar hingga perguruan tinggi masih harus tetap di ajarkan agar bahasa ini dapat digunakan dengan baik dan benar. Karena bahasa indonesia adalah bahasa persatuan yang menyatukan berbagai suku dan budaya yang ada di indonesia.
Dengan belajar bahasa indonesia kita akan dengan mudah mempelajari serta mengetahui apakah kata - kata yang sering kita ucapkan adalah kosa kata yang tepat atau tidak. Karena jika kita salah mengucapkan kosa kata yang benar maka akan menyebabkan lawan berbicara kita untuk salah menafsirkan arti kata tersebut sehingga dapat menimbulkan salah pengertian antara satu sama lain.