Tugas FPGA
1. Berikut ini adalah contoh package – package dari STD, IEEE, dan Work :
Jawab :
Library STD:
use STD.standard.all;
use STD.textio.all;
Library IEEE:
use IEEE.std_logic_arith.all;
use IEEE.numeric_bit.all;
Library WORK:
use WORK.data_types.all;
2. Berikut ini adalah program D Flip Flop jika dipilih reset maka q = 0 dan saat dipilih clock maka q = d :
Jawab :
library ieee;
use ieee.std_logic_1164.all;
entity lock is
port (clk, reset, d : in std_logic;
Q : out std_logic);
end lock;
architecture key of lock is
begin
process (clk, reset)
begin
if (reset = ‘1’) then
q <= ‘0’;
elsif (clk’event and clk = ‘1’) then
q <= d;
end if;
end process;
end key;

0 komentar:
Posting Komentar