Seven segment adalah alat tampilan elektronik yang bisa menampilkan penomoran decimal. Seven segment biasanya diidentifikasi dalam industri menggunakan huruf a - g seperti pada gambar berikut ini:
Dalam seven segment biasanya hanya digunakan sistem digital sebagai basis datanya yang juga biasa di sebut sebagai Bit (Binary Digit). Umumnya direpresentasikan dengan satu dari dua kondisi yaitu 1 (High), dan 0 (Low).
BCD atau binary-coded decimal adalah suatu cara penulisan angka desimal yang pada setiap digitnya direpresentasikan dengan bilangan biner. BCD biasanya direpresentasikan oleh 4 bit bilangan biner yang mempresentasikan angka 0 - 9. Contoh dari penulisan BCD yaitu:
15 = 0001 0101
347 = 0011 0100 0111
Contoh program tampilan bit pada seven segment.
entity segment is
port ( d : in std_logic_vector (3 downto 0);
s : out std_logic_vector (7 downto 0));
end segment;
architecture behavioral of segment is
begin
s <= "00000110" when d="0001" else --1
"01011011" when d="0010" else --2
"01001111" when d="0011" else --3
"01100110" when d="0100" else --4
"01101101" when d="0101" else --5
"01111101" when d="0110" else --6
"00000111" when d="0111" else --7
"01111111" when d="1000" else --8
"01101111" when d="1001" else --9
"01100111" when d="1010" else --A
"01111100" when d="1011" else --B
"00111001" when d="1100" else --C
"01011110" when d="1101" else --D
"01111001" when d="1110" else --E
"01110001" when d="1111" else --F
"11111111" ; --0
end behavioral;
Secara logika dapat di jelaskan :
Misalnya, kita memasukkan 0 pada switch 3, 1 pada switch 2, 1 pada switch 1, 1 pada switch 0 maka pada A, B, C, D, E, F, G, dan H secara berurut – urut menunjukkan logika 1, 1, 1, 0, 0, 0, 0, 0.
Dan setelah diimplementasikan maka hasil seven segmentnya adalah sebagai berikut :

0 komentar:
Posting Komentar